NoticiasTecnología

Intel Foundry Services anuncia una nueva era

Intel Foundry Services anuncia una nueva era

En abril, Intel Foundry Services (IFS) y Arm anunciaron un acuerdo multigeneracional para permitir a los diseñadores de chips crear sistemas en chip (SoC) informáticos de bajo consumo con tecnología Intel. Estamos encantados de ofrecer a nuestros clientes la oportunidad de diseñar sus SoC móviles en la tecnología de proceso de vanguardia 18 A de Intel combinada con el núcleo de CPU Arm más reciente y potente -el Cortex-X4 de nueva generación recientemente lanzado- para mejorar la potencia y el rendimiento. Esta es una gran señal del impulso que se está creando en torno al IFS, y es parte de la razón por la que estaba ansioso por asumir el liderazgo de la organización en marzo. El IFS ha emprendido un viaje extraordinario, y ahora que he pasado algún tiempo con la organización, quiero compartir más sobre por qué nuestra misión es de vital importancia para los clientes de fundición de todo el mundo, para Intel y para mí.

Me incorporé a Intel en 1981, en los albores de la era del PC, y regresé en 2021, cuando nuestro CEO Pat Gelsinger presentó la estrategia IDM 2.0 de Intel. Al escuchar su visión, me motivó la oportunidad de ayudar a restaurar el liderazgo mundial de Intel en la creciente industria de los semiconductores. La demanda mundial de semiconductores sigue experimentando un crecimiento sostenido a largo plazo, y se espera que la industria de los chips alcance un billón de dólares en ventas a finales de la década. Se trata de una oportunidad sin precedentes. Al mismo tiempo, el 80% de la capacidad mundial de fabricación de chips se concentra en Asia, y muchos clientes de fundiciones buscan más opciones.

La industria necesita cadenas de suministro resistentes y diversas en todo el mundo. Intel es una de las tres únicas empresas del mundo que fabrican actualmente chips de vanguardia. Y hasta la creación del IFS, Intel era la única que carecía de una fundición comercial. Aprovechando las capacidades de fabricación de vanguardia de Intel, su legendaria cadena de suministro y su sólido ecosistema de socios, IFS tiene el ambicioso objetivo de convertirse en la segunda fundición más grande para 2030.

Nuestro enfoque diferenciado

Parte de cómo impulsaremos el crecimiento es yendo más allá de las ofertas tradicionales de fundición y construyendo IFS como la primera fundición de sistemas abiertos del mundo, liderando la transición de la industria desde el sistema-en-chip monolítico estándar a los «sistemas de chips» en un paquete. Nuestra oferta combinada de fabricación de obleas, tecnología avanzada de proceso y empaquetado, estándares de chips, software, ecosistema robusto y capacidades de ensamblaje y prueba ayudará a nuestros clientes a crear diseños de silicio innovadores y a ofrecer productos personalizables de extremo a extremo.

Las avanzadas tecnologías de empaquetado de Intel son un elemento diferenciador clave del IFS, ya que permiten a los clientes incluir más funciones en cada nueva generación, manteniendo al mismo tiempo el mismo coste, potencia y espacio físico. En la actualidad, algunos de nuestros mayores clientes de IFS, como Amazon, Cisco y el Departamento de Defensa de EE.UU. (DoD), utilizan nuestras soluciones de empaquetado. El DoD necesita más que nunca capacidades avanzadas de fundición y empaquetado de vanguardia en tierra, e IFS está preparado para ayudar al gobierno de EE.UU. a realizar una transición segura a las fundiciones comerciales.

El cliente es lo primero

La gente y la cultura de Intel siempre han sido los principales pilares del éxito y la resistencia de nuestra empresa – esta fue una de las razones por las que regresé. En los dos últimos años, Intel ha desarrollado nuevos conjuntos de competencias y modelos operativos clave para impulsar un cambio cultural hacia un enfoque centrado en el cliente en apoyo de los clientes de fundición. Se trata de una prioridad absoluta para IFS, ya que ser una fundición significa ante todo ser una empresa de servicio al cliente y comprometerse a fondo con los clientes a lo largo de todo el proceso de diseño y fabricación.

Como parte de este cambio dentro de Intel, tratamos por igual a los clientes externos de fundición y a nuestras unidades de negocio internas, al tiempo que garantizamos la confidencialidad y la protección de la propiedad intelectual (PI) y establecemos corredores de capacidad dedicados a los clientes. Para ello, estamos implantando un modelo de fundición interna que establece procesos, sistemas y barandillas coherentes entre nuestras unidades de negocio y nuestros equipos de diseño y fabricación. De este modo, los clientes externos de fundición están en pie de igualdad con nuestros grupos de productos internos. Los clientes de IFS pueden estar seguros de la excelencia técnica, la innovación y la capacidad que han contratado.

Aplicar nuestra estrategia

Sabemos por experiencia que crear rápidamente un negocio de fundición de éxito desde cero es una de las tareas más difíciles que podemos asumir. Ahora, al implementar nuestra estrategia IDM 2.0, estamos tomando medidas para asegurarnos de que tanto nosotros como nuestros clientes tengamos éxito.

Intel se está preparando para satisfacer la futura demanda de los clientes de fundición a través de su enfoque de capacidad de fabricación a escala global, aprovechando las ampliaciones de sus fábricas en EE.UU., la UE e Israel. Preparar nuestras fábricas para atender a los clientes de fundición nos permitirá hacer frente a la creciente demanda mundial de chips de vanguardia y, al mismo tiempo, alcanzar la escala necesaria para seguir invirtiendo en tecnologías avanzadas.

También estamos construyendo un sólido ecosistema de automatización de diseño electrónico (EDA), IP de silicio, servicio de diseño, nube y alianzas militares, aeroespaciales y gubernamentales de EE.UU. (USMAG) para ayudar a los clientes de fundición de Intel a llevar sus productos de silicio de la idea a la implementación. A través del programa IFS Accelerator, ofrecemos una interfaz perfecta con las tecnologías de proceso de Intel y aceleramos la innovación de los clientes en las plataformas de fabricación IFS.

El camino hacia el futuro

IFS mantiene su compromiso de garantizar que los productos de los clientes de fundición reciban nuestra máxima atención en términos de servicio, habilitación tecnológica y compromisos de capacidad. A medida que construimos y ampliamos nuestro futuro en la oferta de servicios de fundición, buscamos el apoyo de nuestros socios estratégicos del ecosistema, como Arm, Cadence, Synopsys y otros. Invitamos a la comunidad de diseño de SoC a utilizar la fuerza de Intel para impulsar el siguiente nivel de innovación en semiconductores».

El IFS ha recorrido un largo camino en los últimos dos años y me apasiona saber adónde podemos llevarlo en los años venideros». IFS celebrará su propio evento a finales de este año, en el que hablaremos de nuestros progresos y de cómo trabajamos con nuestros socios del ecosistema para impulsar las innovaciones de nuestros clientes. Estoy deseando compartir más con ustedes mientras seguimos aplicando nuestra estrategia, desarrollando nuestras capacidades y creando una nueva alternativa de fundición de vanguardia para el mundo.

Carlos Cantor

Carlos Cantor

GeekAdicto
Ingeniero industrial apasionado por la tecnología. Colombiano amante de la cerveza. Adicto a los E-sports.